2016년 12월 25일 일요일

논리회로 설계- 디코더, 인코더에 대해서

논리회로 설계- 디코더, 인코더에 대해서
논리회로 설계- 디코더, 인코더에 대해서.hwp


본문
1. 개 요
○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습
○ TEST bench, simulation 방법 이해

2. 문 제
(1) 3*8 Decoder
-Behavioral modeling


library ieee;
use ieee.std_logic_1164.all;

entity decoder is
port (x : in std_logic_vector(2 downto 0);
d : out std_logic_vector(7 downto 0));
end decoder;

architecture behavioral of decoder is
begin
process (x)
begin
case x is
when 000 => d <= 10000000 ;
when 001 => d <= 01000000 ;
when 010 => d <= 00100000 ;
when 011 => d <= 00010000 ;



참고문헌
4. 참고 자료
-VHDL을 활용한 디지털 회로 설계 (한울출판사)
-네이버 백과사전




하고 싶은 말


키워드
디코더, 인코더, 설계, 논리회, 논리회로

댓글 없음:

댓글 쓰기