2016년 12월 24일 토요일

논리회로설계 - 영상 스크램블러

논리회로설계 - 영상 스크램블러
논리회로설계 - 영상 스크램블러.hwp


본문

작품설명

요즘 시대적으로 보안이라는 말에 상당히 민감하다 해킹을 당하여 정보를 도난당하는등 사회적으로 문제가 대두되고 있는데, 만약 전송되는 데이터를 암호화하여 전송하고 다시 수신측에서는 그 암호화와 같은 알고리즘으로 수신을 하면 도중에 정보를 가로채가더라도 암호알고리즘을 알지못하면 무용지물이 되어 정보보호가 조금더 되지않을까하는 생각에서 화면을 일정한규칙으로 섞어서 알고리즘을 모르는 사람이 봤을때는 온전한 데이터가 무엇인지 알수없도록 하는 작품을 설계해보았다.
기본컨셉은 DUT에서 데이터를 차례대로 읽어와 내부 레지스터에 그값들을 저장하고 다시 내보낼때는 그데이터들의 순서를 일련의 알고리즘 형태로 섞는 방식으로 한다. 내부에 같은 크기의 레지스터 2개를 만들어서 시작신호가 들어오면 DUT_sel 신호가 0,1이반복되면서 차례로 mem1과 mem2를 사용하여 실시간으로 처리를 할수있도록 설계하였다. 25M hz 클럭을 사용하면 Delay가 5ms, troughput delay는 2.5ms가된다. 충분히 실시간 영상처리가 가능하다. 부수적으로 raw파일을 txt파일로, txt파일을 raw파일로 변환해주는 c코딩을 만들었다.




참고문헌
1 Using the New Verilog-2001 Standard PartTwo : Verifying Designs
(by Stuart Sutherland, Suther land H이, inc. portland, Oregon)

2 Virtualization of Heterogeneous Machines, Hardware Description in a Synthesizable Object-Oriented Language
(by joshua Auerbach, David F. Bacon, Perry Cheng, Rodric Rabbah, Sunil Shukla)

3 Google website searching
(fopen,fwrite,reamemh 함수등)


하고 싶은 말


키워드
스크램블러, 논리회로설계, 설계, 논리, 회로

댓글 없음:

댓글 쓰기