[디지털 실험] kit실험 레포트입니다.hwp |
본문 ■실험 보고서 완성 1. 실험 결과 요약 정리 2. 분석 및 결론(시뮬레이션 결과를 자세히 분석할 것.) ↑MAX+PLUSⅡ로 제작한 회로도의 모습 P.S INPUT N.S OUPPUT FF-INPUT Q _ 1 Q _ 0 Timer Q _ 1 Q _ 0 nsr nsy nsg ewr ewy ewg J _ Q1 K _ Q1 J _ Q0 K _ Q0 0 0 0 0 0 0 1 1 1 1 0 0 X 0 X 0 0 1 0 1 0 1 1 1 0 1 0 X 1 X 0 1 0 1 0 1 1 0 0 1 1 1 X X 1 0 1 1 1 0 1 1 0 0 1 1 1 X X 1 1 0 0 1 0 1 1 0 0 1 1 X 0 0 X 1 0 1 1 1 1 0 1 0 1 1 X 0 1 X 1 1 0 0 0 0 1 1 1 1 0 X 1 X 1 1 1 1 0 0 0 1 1 1 1 0 X 1 X 1 ↑상태표 ↑시뮬레이션 결과 값 위 사진을 보면은 LED가 양쪽 끝을 밝히면서 실험 결과가 회로도와 일치한다는 것을 알수가 있었다. ■2번째 실험내용 VHDL로 설계를 하여라 Library ieee; use ieee.std_logic_1164.all; entity traffic is port( clock, reset : in std_logic; north_south, east_west : out std_logic_vector(2 downto 0)); end traffic; architecture a of traffic is type state_type is (s0 , s1 ,s2, s3); signal state : state_type; signal timer : std_logic; begin cycle_timer: process(clock, reset) variable count: integer range 0 to 7; begin if reset = 0 then count := 0; timer <= 0; else if (clockevent and clock = 1) then count := count + 1; if count >= 5 then timer <= 1; count := 0; else timer <= 0; end if; end if; end if; end process cycle_timer; controller: process(clock, reset) begin if reset =0 then state <= s0; north_south <= 011 ; east_west <= 110 ; else if (clockevent and clock = 1) then case state is when s0 => if timer = 0 then state <= s0; north_south <= 011 ; east_west <= 110 ; else state <= s1; north_south <= 011 ; east_west <= 101 ; end if; when s1 => state <= s2; north_south <= 110 ; east_west <= 011 ; when s2 => if timer = 0 then state <= s2; north_south <= 110 ; east_west <= 011 ; else state <= s3; north_south <= 101 ; east_west <= 011 ; end if; when others => state <= s0; north_south <= 011 ; east_west <= 110 ; end case; end if; end if; end process controller; end a; 이것도 보면은 알수 있듯이 첫 번째와 같이 실험 결과가 일치한다는 것을 이번 실험을 통해서 알수가 있었다. 회로도로 한 것과 똑같은 결과 값이 나온다는 것을 알게 되었다. 하고 싶은 말 좀 더 업그레이드하여 자료를 보완하여, 과제물을 꼼꼼하게 정성을 들어 작성했습니다. 위 자료 요약정리 잘되어 있으니 잘 참고하시어 학업에 나날이 발전이 있기를 기원합니다 ^^ 구입자 분의 앞날에 항상 무궁한 발전과 행복과 행운이 깃들기를 홧팅 키워드 실험, 결과, 회로도, 시뮬레이션, 모습, 양쪽 |
2018년 10월 2일 화요일
디지털 실험 kit실험 레포트입니다
디지털 실험 kit실험 레포트입니다
피드 구독하기:
댓글 (Atom)
댓글 없음:
댓글 쓰기